了解为什么 UDP 代表了基于 RDMA 和 TCP 的优化 GigE Vision 方法

图像传感器技术不断进步,提供了更高的分辨率和更快的速度,这反过来又创造了新的可能性 机器视觉 和成像。 然而,这些进步也带来了挑战,尤其是在可靠的数据传输方面。 关键挑战之一是需要在保持低延迟和控制抖动的同时远距离传输数据。 克服这些挑战对于在要求苛刻的成像应用中取得成功至关重要。

为了应对这些挑战,许多制造商已对使用以太网的高性能数据传输进行了大量投资,以太网是一种可扩展的技术,为 GigE视觉,机器视觉行业领先的相机接口技术。 GigE Vision 依赖现成的电缆、交换机和网络接口卡 (NIC)。 此外,它还享有 Windows、Linux 等主要计算机操作系统的支持。

充分利用 GigE Vision

促进自动化协会 (A3) 于 2006 年正式批准了 GigE Vision 标准。它依赖于以太网上的用户数据报协议 (UDP) 来实现可靠和低延迟的数据传输。 然而,随着数据速率的提高,一些制造商在使用 GigE Vision 实现最佳性能时遇到了挑战,尤其是当数据速率达到 10Gbps 或更高时。 已经探索了诸如传输控制协议 (TCP) 或远程直接内存访问 (RDMA) 和 RDMA over Converged Ethernet (RoCE) 等替代协议来解决这些困难。

在 GigE Vision 标准下,使用基于 UDP 的 GigE Vision 流协议 (GVSP) 进行数据传输。 每个帧由一个前导数据包、多个图像(有效载荷)数据包和一个尾部数据包组成。 这 高速 GigE 相机 传输这些数据包,而接收方(PC)负责将数据放入适当的目标缓冲区。 这种未连接的协议方法消除了不必要的网络开销,从而实现了最佳网络性能。 由于 UDP 不保证数据传输,因此正确设计和配置接收器以防止数据或数据包丢失至关重要。 但是,如果配置正确,此设置可确保最佳性能、最小延迟和减少抖动。

大视野

picture1

图 1:当数据速率接近 10Gbps 或更高时,传统 GigE Vision + GVSP 实施的数据路径并未针对性能进行优化。

但是,并非所有实现都提供相同级别的性能。 一些制造商采用 GigE Vision 实现,该实现依赖于基于软件的报头拆分来从 GVSP 数据包中删除报头并将图像数据存储在连续的内存缓冲区中。 尽管在技术上是合规的,但这种方法通过将 CPU 使用率和内存消耗增加三倍来显着影响性能。 如此糟糕的接收器设计选择会导致效率低下,极大地影响系统成本和性能,通常会限制 1GigE 和 10GigE 设备并使其无法达到 25GigE 或 100GigE 的速度。 与实施优化的 GigE Vision 解决方案(包括优化的接收器)相关的技术困难促使一些制造商提出了不依赖以太网的复杂成像技术的替代方法。

比较 GigE Vision 的 UDP、TCP、RDMA 和 RoCE

GigE Vision 标准的一个建议补充是包含 TCP,一些人认为这可以减轻设计和管理报头拆分的需要。 虽然采用 TCP 方法可能会简化设计过程,但它相对于 UDP 的性能优势是有限的。 TCP虽然不具备流式协议的功能,但提供了数据重发、流量控制等特性,保证了数据的可靠传输。 然而,这些优势是以牺牲整体系统性能为代价的。 作为连接协议,TCP 引入了额外的开销,包括增加的内存使用量。 此外,TCP 依赖于数据副本,否定了零副本设计的优势。 此外,作为一种点对点技术,TCP 消除了传统的 GigE Vision 优势,例如多播或点对多点传输。 值得注意的是,基于 TCP 的实现在获得批准之前将保持专有,如果批准发生的话。

picture2

picture2

图 2:GigE Vision 的优化 UDP 实施中的数据路径通过以太网实现低延迟和可靠的数据传输,即使在 10、25 和 100Gbps 的速度下也是如此。

最近出现的另一个提案是 RDMA 和 RoCE。 与 UDP 类似,RDMA 和 RoCE 为图像缓冲区提供零复制性能,并且不需要标头拆分。 然而,与 TCP 一样,RDMA 和 RoCE 是支持重发和流量控制的连接协议,这会给系统带来开销并影响整体性能、延迟和抖动。 此外,RDMA 和 RoCE 与点对点技术具有相同的局限性。 与零拷贝 TCP 协议类似,RDMA 和 RoCE 在获得批准之前将保持专有。

如果实施得当,用于 GigE Vision 的优化 UDP 方法仍然是通过以太网实现低延迟和可靠数据传输的最佳选择,即使在 10、25 和 100Gbps 的速度下也是如此。 Emergent Vision Technologies 在这方面拥有良好的记录,在航运领域拥有超过 10 年的经验 10GigE 相机经验, 5年以上海运经验 25GigE 相机经验,以及超过 2 年的 100GigE 相机运输经验,所有这些都没有任何数据丢失。

为了更全面地了解真正的优势 针对 GigE Vision 相机使用 UDP、TCP 和 RDMA 的优化 GigE Vision 方法,我们邀请您探索我们的深度指南。 如果您有任何问题、意见或想与我们的一位技术专家交谈, 请不要犹豫,立即联系我们.